设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为1,否则y为0.

日期:2009-06-12 16:21:39 人气:1

设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为1,否则y为0.

如果定义为 : “设计一个码检验电路,当输入的四位二进制数a、b、c、d为8421bcd码时,输出y为0,否则y为1.” 就很容易理解和实现。 如下: 8421bcd 的编码范围是 0000 0001 …… 1000 1001,你只需要检测出来是不是 以下六编码就可以了:1010 1011 1100 1101 1111 列出真实表就可以写出表达式了。 画出卡诺图 能得出来 Y=ab+ac 使用 与 或 逻辑就可以了 最后只需要 在输出端 加上一个 非门就
    A+
热门评论