BCD码是4位数代表10进制的1到9,那么我问一下,假如BCD是1111那么十进制会是什么?

日期:2011-04-09 03:34:16 人气:2

BCD码是4位数代表10进制的1到9,那么我问一下,假如BCD是1111那么十进制会是什么?

BCD码不是用4位数表示10进制,而是用4个二进制位来表示10进制的1到9. 1111不是合法的BCD码,不对应任何十进制数。最大的单个BCD码是1001。任何大于1001的BCD码都是错的。
    A+
热门评论