EDA技术:怎么使用with_select语句设计一个四选一16位数据选择器?
EDA技术:怎么使用with_select语句设计一个四选一16位数据选择器?
日期:2017-09-16 12:19:28 人气:1
library ieee;
use ieee.std_logic_1164.all;
entity xzq4 is
port(i0, i1, i2, i3:in std_logic_vector(15 downto 0);
s0, s1: in std_logic;
y : out std_logic_vector(15 downto 0));
end xzq4;
archit