求一个16选1数据选择器的VHDL描述,要求是用4选1的数据选择器构成,谢谢了

日期:2011-11-20 14:40:45 人气:1

求一个16选1数据选择器的VHDL描述,要求是用4选1的数据选择器构成,谢谢了

4选1的数据选择器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mux41 is port(a,b,c,d:in std_logic; s:in std_logic_vector(1 downto 0); y:out std_logic); end; architecture one of mux41 is begin y<= a when s=
    A+
热门评论